Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Next revision Both sides next revision
readings [2015/09/23 16:17]
nandita [Optional Readings Mentioned in the Lecture]
readings [2015/09/23 16:35]
nandita [Optional Readings Mentioned in the Lecture]
Line 118: Line 118:
   * Seshadri et al., [[http://​users.ece.cmu.edu/​~omutlu/​pub/​in-DRAM-bulk-AND-OR-ieee_cal15.pdf | RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization ]], //MICRO 2013// ​   * Seshadri et al., [[http://​users.ece.cmu.edu/​~omutlu/​pub/​in-DRAM-bulk-AND-OR-ieee_cal15.pdf | RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization ]], //MICRO 2013// ​
   * Junwhan Ahn et. al., [[ https://​users.ece.cmu.edu/​~omutlu/​pub/​pim-enabled-instructons-for-low-overhead-pim_isca15.pdf | PIM-Enabled Instructions:​ A Low-Overhead,​ Locality-Aware Processing-in-Memory Architecture]],​ //ISCA 2015.//   * Junwhan Ahn et. al., [[ https://​users.ece.cmu.edu/​~omutlu/​pub/​pim-enabled-instructons-for-low-overhead-pim_isca15.pdf | PIM-Enabled Instructions:​ A Low-Overhead,​ Locality-Aware Processing-in-Memory Architecture]],​ //ISCA 2015.//
 +  * Liu et. al., [[http://​www.pdl.cmu.edu/​PDL-FTP/​NVM/​dram-retention_isca13.pdf | An Experimental Study of Data Retention Behavior in Modern DRAM Devices: Implications for Retention Time Profiling Mechanisms]],​ //ISCA 2013.//
 +  * Khan et. al., [[https://​users.ece.cmu.edu/​~omutlu/​pub/​error-mitigation-for-intermittent-dram-failures_sigmetrics14.pdf | The Efficacy of Error Mitigation Techniques for DRAM Retention Failures: A Comparative Experimental Study]], //​SIGMETRICS 2014.//
 +  * Luo et. al., [[http://​users.ece.cmu.edu/​~omutlu/​pub/​heterogeneous-reliability-memory-for-data-centers_dsn14.pdf | Characterizing Application Memory Error Vulnerability to Optimize Data Center Cost]], //DSN 2014//
 +  * Kim et al., [[ http://​users.ece.cmu.edu/​~omutlu/​pub/​dram-row-hammer_isca14.pdf| Flipping Bits in Memory Without Accessing Them: An Experimental Study of DRAM Disturbance Errors]], ISCA 2014.
 +  * Cai et. al. [[http://​www.istc-cc.cmu.edu/​publications/​papers/​2013/​flash-programming-interference_iccd13.pdf | Program Interference in MLC NAND Flash Memory: Characterization,​ Modeling, and Mitigation]]. //ICCD 2013//
 +  * Cai et. al., [[https://​users.ece.cmu.edu/​~omutlu/​pub/​flash-error-analysis-and-management_itj13.pdf | Error Analysis and Retention-Aware Error Management for NAND Flash Memory]], //Intel Technology Journal 2013//
 +  * Cai et. al., [[https://​users.ece.cmu.edu/​~omutlu/​pub/​neighbor-assisted-error-correction-in-flash_sigmetrics14.pdf | Neighbor-Cell Assisted Error Correction for MLC NAND Flash Memories]], //​SIGMETRICS 2014//
 +  * Lee et. al., [[https://​users.ece.cmu.edu/​~omutlu/​pub/​adaptive-latency-dram_hpca15.pdf | Adaptive-Latency DRAM: Optimizing DRAM Timing for the Common-Case]],​ //HPCA 2015//
 +  * Qureshi et al. [[ https://​users.ece.cmu.edu/​~omutlu/​pub/​avatar-dram-refresh_dsn15.pdf | AVATAR: A Variable-Retention-Time (VRT) Aware Refresh for DRAM Systems]], //DSN 2015//
 +
 +