Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Next revision Both sides next revision
readings [2015/09/16 04:20]
nandita [Optional Readings Mentioned in Lecture]
readings [2015/09/17 18:01]
nandita [Optional Readings Mentioned in Lecture]
Line 63: Line 63:
   * Seshadri et al., [[http://​users.ece.cmu.edu/​~omutlu/​pub/​in-DRAM-bulk-AND-OR-ieee_cal15.pdf | Fast Bulk Bitwise AND and OR in DRAM]], //IEEE Computer Architecture Letters (CAL), April 2015.//   * Seshadri et al., [[http://​users.ece.cmu.edu/​~omutlu/​pub/​in-DRAM-bulk-AND-OR-ieee_cal15.pdf | Fast Bulk Bitwise AND and OR in DRAM]], //IEEE Computer Architecture Letters (CAL), April 2015.//
    * Seshadri et al., [[http://​users.ece.cmu.edu/​~omutlu/​pub/​in-DRAM-bulk-AND-OR-ieee_cal15.pdf | RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization ]], //MICRO 2013// ​    * Seshadri et al., [[http://​users.ece.cmu.edu/​~omutlu/​pub/​in-DRAM-bulk-AND-OR-ieee_cal15.pdf | RowClone: Fast and Energy-Efficient In-DRAM Bulk Data Copy and Initialization ]], //MICRO 2013// ​
 +   * Ahn et al., [[ http://​users.ece.cmu.edu/​~omutlu/​pub/​tesseract-pim-architecture-for-graph-processing_isca15.pdf | A Scalable Processing-in-Memory Accelerator for Parallel Graph Processing]], ​ //ISCA 2015.//
 +   * Loh et al., [[http://​ag-rs-www.informatik.uni-kl.de/​publications/​data/​Loh08.pdf| 3D-Stacked Memory Architectures for Multi-Core Processors]],​ //ISCA 2008.//
 +   * Dennis et al.,​[[https://​courses.cs.washington.edu/​courses/​cse548/​11au/​Dennis-Dataflow.pdf | A Preliminary Architecture for a Basic Data Flow Processor]],​ //ISCA 1974.//
 +
 +===== Lecture 5 =====
 +==== Optional Readings Mentioned in Lecture ====
 +
 +  * T. Yeh and Y. Patt [[http://​web.cecs.pdx.edu/​~herb/​ece587s15/​Papers/​08_yeh_patt_br_predict_1991.pdf ​ | Two-Level Adaptive Training Branch Prediction]], ​ //Intl. Symposium on Microarchitecture,​ November 1991. MICRO Test of Time Award Winner (after 24 years).//
 +  * Kessler, R. E., [[http://​cseweb.ucsd.edu/​classes/​sp00/​cse241/​alpha.pdf | The Alpha 21264 Microprocessor]],​ //IEEE Micro, March/April 1999, pp. 24-36 //
 +  * McFarling, S., [[http://​www.hpl.hp.com/​techreports/​Compaq-DEC/​WRL-TN-36.pdf | Combining Branch Predictors]],​ //DEC WRL Technical Report, TN-36, June 1993//
 +  * Smith and Sohi, [[ftp://​ftp.cs.wisc.edu/​sohi/​papers/​1995/​ieee-proc.superscalar.pdf | The Microarchitecture of Superscalar Processors]],​ //​Proceedings of the IEEE, 1995.//
 +  * Evers et al., [[http://​www.ece.cmu.edu/​~ece740/​f10/​lib/​exe/​fetch.php?​media=analysisofcorrelationandpredictability.pdf | An Analysis of Correlation and Predictability:​ What Makes Two-Level Branch Predictors Work]], //ISCA 1998//
 +  * Chang et al., [[http://​ieeexplore.ieee.org/​xpls/​abs_all.jsp?​arnumber=717404 | Branch classification:​ a new mechanism for improving branch predictor performance]],​ //MICRO 1994//
 +  * Sprangle et al., [[http://​ieeexplore.ieee.org/​xpls/​abs_all.jsp?​arnumber=604711The Agree Predictor: A Mechanism for Reducing Negative Branch History Interference]],​ //ISCA 1997.//
 +  * Seznec, [[http://​www.irisa.fr/​caps/​oldcaps/​people/​seznec/​Optim2bcgskew.pdf | An optimized 2bcgskew branch predictor]],​ //IRISA Tech Report 1993.//
 +  * Michaud, [[http://​citeseerx.ist.psu.edu/​viewdoc/​download?​doi=10.1.1.94.63&​rep=rep1&​type=pdf | Trading conflict and capacity aliasing in conditional branch predictors]],​ //ISCA 1997//
 +  * Lee et al., [[http://​www-inst.eecs.berkeley.edu/​~cs152/​sp05/​handouts/​p4-lee.pdf | The bi-mode branch predictor]],​ //MICRO 1997.//
 +  * Eden and Mudge, [[http://​web.eecs.umich.edu/​~tnm/​papers/​yags.pdf | The YAGS branch prediction scheme]], //MICRO 1998.//
 +  * Seznec et al., [[http://​www.cs.utah.edu/​~rajeev/​cs7810/​papers/​seznec02.pdf | Design tradeoffs for the Alpha EV8 conditional branch predictor]],​ //ISCA 2002.//
 +  * Chappell et al., [[http://​www.ece.cmu.edu/​~ece740/​f13/​lib/​exe/​fetch.php?​media=chappell_ssmt99.pdf | Simultaneous Subordinate Microthreading (SSMT)]], //ISCA 1999.//
 +  * Seznec, [[https://​classes.soe.ucsc.edu/​cmpe221/​Spring06/​papers/​03trace.pdf | Analysis of the O-Geometric History Length branch predictor]],​ //ISCA 2005//
 +  * Gochman et al., [[http://​www.weblearn.hs-bremen.de/​risse/​RST/​WS04/​Centrino/​vol7iss2_art03.pdf | The Intel Pentium M Processor: Microarchitecture and Performance]],​ //Intel Technology Journal, May 2003//
 +  * Jimenez and Lin, [[https://​www.cs.utexas.edu/​~lin/​papers/​hpca01.pdf | Dynamic Branch Prediction with Perceptrons]],​ //HPCA 2001//
 +  * Rosenblatt, [[http://​catalog.hathitrust.org/​Record/​000203591 | Principles of Neurodynamics:​ Perceptrons and the Theory of Brain Mechanisms]],​ //1962//