User Tools

Site Tools


readings

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Next revision Both sides next revision
readings [2015/04/06 23:13]
clement
readings [2015/04/09 00:38]
clement
Line 359: Line 359:
   * {{papamarcos_patel_-_1984_-_a_low-overhead_coherence_solution_for_multiprocessors_with_private_cache_memories.pdf|Papamarcos,​ M. S., & Patel, J. H. (1984). A low-overhead coherence solution for multiprocessors with private cache memories. Proceedings of the 11th annual international symposium on Computer architecture.}}   * {{papamarcos_patel_-_1984_-_a_low-overhead_coherence_solution_for_multiprocessors_with_private_cache_memories.pdf|Papamarcos,​ M. S., & Patel, J. H. (1984). A low-overhead coherence solution for multiprocessors with private cache memories. Proceedings of the 11th annual international symposium on Computer architecture.}}
 ** Mentioned during lecture: ** ** Mentioned during lecture: **
-  * {{p176-baer.pdf|Baer, J.-L., & Chen, T.-F. (1991). An effective on-chip preloading scheme to reduce data access penaltyProceedings ​of the 1991 ACM/IEEE conference on Supercomputing.}} +  * {{horner-1819.pdf|Horner ​(1819). A new method of solving numerical equations of all orders, by continuous approximationPhilosophical Transactions ​of the Royal Society}} 
-  * {{04147648.pdf|Srinath,​ S., Mutlu, O., Kim, H., & Patt, Y. N. (2007). Feedback Directed PrefetchingImproving the Performance and Bandwidth-Efficiency of Hardware Prefetchers. Proceedings of the 2007 IEEE 13th International Symposium on High Performance Computer Architecture.}} + 
-  * {{joseph_grunwald_-_1997_-_prefetching_using_markov_predictors.pdf|JosephD., & Grunwald, D. (1997). Prefetching using Markov predictors. Proceedings of the 24th annual international symposium on Computer architecture.}} +===== Lecture 28 (4/8 Wed.) ===== 
-  * {{p279-cooksey.pdf|CookseyR., Jourdan, S., & Grunwald, D. (2002). A stateless, content-directed data prefetching mechanism. Proceedings of the 10th international conference on Architectural support for programming languages and operating systems.}} +** Required** 
-  * {{04798232.pdf|Ebrahimi,​ E., Mutlu, O., & Patt, Y. N. (2009). Techniques for bandwidth-efficient prefetching of linked data structures in hybrid prefetching systemsHigh Performance Computer Architecture,​ 2009.}} +  * {{amdahl_-_1967_-_validity_of_the_single_processor_approach_to_achieving_large_scale_computing_capabilities.pdf|AmdahlGM. (1967). Validity of the single processor approach to achieving large scale computing capabilities. Proceedings of the April 18-20, 1967, spring joint computer conference.}} 
-  * {{p186-chappell.pdf|Chappell, R. S.Stark, J., Kim, S. P., Reinhardt, S. K., PattYN. (1999). Simultaneous subordinate microthreading (SSMT). Proceedings of the 26th annual international symposium on Computer architecture.}} +  * {{lamport_-_1979_-_how_to_make_a_multiprocessor_computer_that_correctly_executes_multiprocess_programs.pdf|LamportL. (1979). How to Make a Multiprocessor Computer That Correctly Executes Multiprocess Programs.}} 
-  * {{p2-zilles.pdf|Zilles, C., & Sohi, G(2001)Execution-based prediction using speculative slices. Proceedings of the 28th annual international symposium on Computer architecture.}} +  * (CMU WebISO[[http://​www.ece.cmu.edu/​~ece447/​cmu_only/​culler-mesi.pdf|C&S, Chapters 5.1 & 5.3]] 
-  * {{p40-luk.pdf|Luk, C.-K. (2001). Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors. Proceedings of the 28th annual international symposium on Computer architecture.}} +  * P&HChapter 5.
-  * {{p172-zilles.pdf|Zilles, CB., & Sohi, GS. (2000). Understanding ​the backward slices ​of performance degrading instructions. Proceedings of the 27th annual international symposium on Computer architecture.}} +** Recommended:​ ** 
-  * {{mutlu_et_al._-_2003_-_runahead_execution_an_alternative_to_very_large_instruction_windows_for_out-of-order_processors.pdf|Mutlu, O., Stark, J., Wilkerson, C., & Patt, Y. N. (2003). Runahead ExecutionAn Alternative to Very Large Instruction Windows for Out-of-Order Processors. Proceedings of the 9th International Symposium on High-Performance Computer Architecture.}} +  * {{10.1.1.17.8112.pdf|Gharachorloo et al. (1990). Memory Consistency and Event Ordering in Scalable Shared-Memory Multiprocessors.}} 
-  * {{jouppi_-_1990_-_improving_direct-mapped_cache_performance_by_the_addition_of_a_small_fully-associative_cache_and_prefetch_buffers.pdf|Jouppi, NP. (1990). Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers. Proceedings of the 17th annual international symposium on Computer Architecture.}}+  * {{10.1.1.89.3693.pdf|Gharachorloo et al. (1991). Two Techniques to Enhance ​the Performance ​of Memory Consistency Models.}} 
 +  * {{isca07_bulksc.pdf|Ceze et al. (2007). BulkSCBulk Enforcement ​of Sequential Consistency.}} 
 +** Mentioned during lecture: ** 
 +  * (HTML) [[http://​www.cs.utexas.edu/​users/​EWD/​transcriptions/​EWD01xx/​EWD123.html|Dijkstra ​(1965Cooperating Sequential Processes.]]
readings.txt · Last modified: 2015/04/13 19:31 by kevincha