User Tools

Site Tools


readings

Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revision Previous revision
Next revision
Previous revision
Next revision Both sides next revision
readings [2015/04/06 23:04]
clement
readings [2015/04/06 23:13]
clement
Line 347: Line 347:
   * {{http://​www.amazon.com/​Inside-AS-400-Second-Edition/​dp/​1882419669|Frank Soltis,"​Inside the AS/​400"​}}   * {{http://​www.amazon.com/​Inside-AS-400-Second-Edition/​dp/​1882419669|Frank Soltis,"​Inside the AS/​400"​}}
  
-===== Lecture 27 (4/3 Wed.) ===== +===== Lecture 27 (4/6 Mon.) ===== 
-**Required:​** +** Required: ** 
-  * {{main-memory-system_kiise15.pdf| Onur MutluJustin Meza, and Lavanya Subramanian, "The Main Memory SystemChallenges ​and Opportunities," ​Invited Article ​in Communications ​of the Korean Institute of Information Scientists and Engineers ​(KIISE), 2015.}} +  * {{amdahl_-_1967_-_validity_of_the_single_processor_approach_to_achieving_large_scale_computing_capabilities.pdf|AmdahlG. M. (1967). Validity of the single processor approach to achieving large scale computing capabilities. Proceedings of the April 18-201967, spring joint computer conference.}} 
- +  * {{lamport_-_1979_-_how_to_make_a_multiprocessor_computer_that_correctly_executes_multiprocess_programs.pdf|Lamport,​ L. (1979). How to Make a Multiprocessor Computer That Correctly Executes Multiprocess Programs.}} 
-**Mentioned ​During Lecture:** +  * (CMU WebISO) [[http://​www.ece.cmu.edu/​~ece447/​cmu_only/​culler-mesi.pdf|C&​S,​ Chapters 5.1 & 5.3]] 
-  * {{jouppi1990.pdf|Jouppi“Improving Direct-Mapped Cache Performance ​by the Addition ​of a Small Fully-Associative Cache and Prefetch Buffers,” ISCA 1990.}} +  * P&H, Chapter 5.8 
-  * {{http://​users.ece.cmu.edu/​~omutlu/​pub/​TR-HPS-2006-006.pdf|Srinathet al., “Feedback directed prefetching”HPCA 2007.}}+** Recommended:​ ** 
 +  * (CMU WebISO) [[http://​www.ece.cmu.edu/​~ece447/​cmu_only/​hill_309_314.pdf|Hill,​ Jouppi, Sohi. "​Multiprocessors ​and Multicomputers," ​pp. 551-560 in Readings in Computer Architecture.]] 
 +  * (CMU WebISO) [[http://​www.ece.cmu.edu/​~ece447/​cmu_only/​hill_551_560.pdf|Hill,​ Jouppi, Sohi. "​Dataflow ​and Multithreading," ​pp. 309-314 ​in Readings in Computer Architecture.]] 
 +  * {{01447203.pdf|Flynn,​ M. J. (1966). Very high-speed computing systems. Proceedings ​of the IEEE.}} 
 +  * {{papamarcos_patel_-_1984_-_a_low-overhead_coherence_solution_for_multiprocessors_with_private_cache_memories.pdf|Papamarcos,​ M. S., & Patel, J. H. (1984). A low-overhead coherence solution for multiprocessors with private cache memories. Proceedings of the 11th annual international symposium on Computer architecture.}} 
 +** Mentioned ​during lecture: ** 
 +  * {{p176-baer.pdf|BaerJ.-L., & Chen, T.-F. (1991). An effective on-chip preloading scheme to reduce data access penalty. Proceedings of the 1991 ACM/IEEE conference on Supercomputing.}} 
 +  * {{04147648.pdf|Srinath,​ S., Mutlu, O., Kim, H., & Patt, Y. N. (2007). Feedback Directed Prefetching:​ Improving the Performance ​and Bandwidth-Efficiency of Hardware Prefetchers. Proceedings of the 2007 IEEE 13th International Symposium on High Performance Computer Architecture.}} 
 +  * {{joseph_grunwald_-_1997_-_prefetching_using_markov_predictors.pdf|Joseph,​ D., & Grunwald, D. (1997). Prefetching using Markov predictors. Proceedings ​of the 24th annual international symposium on Computer architecture.}} 
 +  * {{p279-cooksey.pdf|Cooksey,​ R., Jourdan, S., & Grunwald, D. (2002). A stateless, content-directed data prefetching mechanism. Proceedings of the 10th international conference on Architectural support for programming languages ​and operating systems.}} 
 +  * {{04798232.pdf|EbrahimiE., Mutlu, O., & Patt, Y. N. (2009). Techniques for bandwidth-efficient prefetching of linked data structures in hybrid prefetching systems. High Performance Computer Architecture,​ 2009.}} 
 +  * {{p186-chappell.pdf|Chappell,​ RS., Stark, J., Kim, S. P., Reinhardt, S. K., & Patt, Y. N. (1999). Simultaneous subordinate microthreading (SSMT). Proceedings of the 26th annual international symposium on Computer architecture.}} 
 +  * {{p2-zilles.pdf|Zilles,​ C., & Sohi, G. (2001). Execution-based prediction using speculative slices. Proceedings of the 28th annual international symposium on Computer architecture.}} 
 +  * {{p40-luk.pdf|Luk, C.-K. (2001). Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors. Proceedings of the 28th annual international symposium on Computer architecture.}} 
 +  * {{p172-zilles.pdf|ZillesC. B.& Sohi, G. S. (2000). Understanding the backward slices of performance degrading instructions. Proceedings of the 27th annual international symposium on Computer architecture.}} 
 +  * {{mutlu_et_al._-_2003_-_runahead_execution_an_alternative_to_very_large_instruction_windows_for_out-of-order_processors.pdf|Mutlu,​ O., Stark, J., Wilkerson, C., & Patt, Y. N. (2003). Runahead Execution: An Alternative to Very Large Instruction Windows for Out-of-Order Processors. Proceedings of the 9th International Symposium on High-Performance Computer Architecture.}} 
 +  * {{jouppi_-_1990_-_improving_direct-mapped_cache_performance_by_the_addition_of_a_small_fully-associative_cache_and_prefetch_buffers.pdf|Jouppi,​ N. P. (1990). Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers. Proceedings of the 17th annual international symposium on Computer Architecture.}}
readings.txt · Last modified: 2015/04/13 19:31 by kevincha